Find Us On Social Media :

Intel Foundry Raih Tonggak Pencapaian Penting Lewat Intel 18A

By Adam Rizal, Jumat, 9 Agustus 2024 | 13:00 WIB

Intel

Intel hari ini mengumumkan bahwa produk unggulannya pada Intel 18A, Panther Lake (client processor untuk AI PC) dan Clearwater Forest (prosesor server), sudah dirilis, telah menyala, dan melakukan booting pada sistem operasi. Pencapaian ini terpenuhi hanya kurang dari dua kuartal setelah tape-out, di mana kedua produk sudah siap diproduksi pada 2025. Perusahaan juga mengumumkan bahwa pelanggan eksternal pertama diperkirakan akan melakukan tape out Intel 18A pada paruh pertama tahun depan.

“Kami memelopori sejumlah teknologi sistem foundry untuk era AI dan menghadirkan serangkaian inovasi lengkap yang penting bagi produk Intel generasi berikutnya dan pelanggan foundry kami. Kami terdorong oleh kemajuan kami dan bekerja sama dengan pelanggan untuk menghadirkan Intel 18A ke market pada tahun 2025," kata Kevin O’Buckley (Intel senior vice president and general manager of Foundry Services).

Pada Juli, Intel merilis 18A Process Design Kit (PDK) 1.0, tool desain yang memungkinkan pelanggan foundry memanfaatkan kemampuan arsitektur transistor gate-all-around RibbonFET dan backside power delivery PowerVia dalam desain mereka pada Intel 18A. Mitra Electronic design automation (EDA) dan intellectual property (IP) memperbarui penawaran mereka supaya pelanggan dapat memulai desain produksi akhir mereka.

Pencapaian itu menunjukkan bahwa Intel Foundry menjadi yang pertama berhasil mengimplementasikan transistor gate-all-around RibbonFET dan teknologi backside power PowerVia untuk pelanggan foundry. Melalui ekosistem EDA dan tools IP serta alur proses, RibbonFET dan PowerVia adalah kemajuan inovatif yang dihadirkan oleh Intel Foundry bagi semua pelanggan melalui Intel 18A. 

Bekerja sama dengan kapasitas manufaktur dan rantai pasokan yang resilien, lebih berkelanjutan, dan tepercaya, serta teknologi pengemasan terdepan di industri, Intel Foundry menyatukan semua komponen yang diperlukan untuk merancang dan memproduksi solusi AI generasi berikut yang dapat dikembangkan dan dijalankan secara lebih efisien.

Dengan keberhasilan melakukan booting pada sistem operasi tanpa konfigurasi atau modifikasi tambahan, Panther Lake dan Clearwater Forest dengan jelas menunjukkan kesehatan Intel 18A, teknologi proses terdepan Intel, yang diharapkan akan mengembalikan Intel ke jajaran pemimpin dalam teknologi proses pada tahun 2025. Tanda-tanda sehat lainnya termasuk kinerja memori DDR Panther Lake yang sudah berjalan pada frekuensi yang ditargetkan. 

Clearwater Forest tahun depan, yang merupakan pola dasar dari chip CPU dan AI di masa depan, akan menandai solusi berkinerja tinggi yang diproduksi secara massal dan pertama di industri ini, yang menggabungkan RibbonFET, PowerVia, dan Foveros Direct 3D untuk densitas dan penanganan daya yang lebih tinggi. Clearwater Forest juga merupakan produk utama untuk teknologi base-die Intel 3-T. Dengan memanfaatkan pendekatan sistem foundry dari Intel Foundry, kedua produk ini diharapkan dapat memberikan peningkatan signifikan dalam kinerja per watt, kepadatan transistor, dan pemanfaatan sel.

Dalam mendapatkan akses ke Intel 18A PDK 1.0 pada bulan lalu, mitra EDA dan IP memperbarui tools dan alur desain mereka supaya pelanggan foundry eksternal dapat memulai desain chip Intel 18A mereka. Ini merupakan tonggak pencapaian yang penting bagi bisnis foundry Intel.

“Kolaborasi strategis antara Cadence dengan Intel Foundry telah membantu mempercepat inovasi pelanggan bersama kami dengan memberikan akses ke solusi EDA terdepan di industri dan IP yang dioptimalkan untuk Intel 18A,” kata Tom Beckley, senior vice president and general manager, Custom IC & PCB Group di Cadence. 

“Sangat menggembirakan melihat Intel 18A mencapai tonggak pencapaian yang penting ini, dan kami senang dapat mendukung pelanggan dalam desain terbaik mereka pada 18A," katanya 

Shankar Krishnamoorthy (General Manager EDA Group di Synopsys) mengatakan, “Senang sekali melihat Intel Foundry mencapai tonggak pencapaian yang penting ini. Dengan 18A yang kini sudah customer-ready, Intel Foundry menyatukan komponen-komponen penting yang diperlukan untuk merancang solusi AI generasi berikutnya, yang dibutuhkan dan diharapkan oleh pelanggan bersama kami. Synopsys memainkan peran yang sangat penting sebagai on-ramp menuju foundry dunia, dan kami bangga bekerja sama dengan Intel Foundry untuk menjalankan solusi EDA dan IP Synopsys yang terkemuka dalam proses terdepan mereka.”

Teknologi inti Intel 18A ini memungkinkan pengembangan dan efisiensi prosesor yang lebih besar, yang diperlukan untuk memajukan komputasi AI. RibbonFET memungkinkan kontrol yang ketat atas arus listrik di channel transistor, memungkinkan miniaturisasi lebih lanjut pada komponen chip sekaligus mengurangi kebocoran daya, sebuah faktor yang penting karena chip menjadi semakin padat. 

PowerVia mengoptimalkan perutean sinyal dengan memisahkan pengiriman daya dari sisi depan wafer, sehingga mengurangi resistensi dan meningkatkan efisiensi daya. Bersama-sama, teknologi-teknologi ini menunjukkan kombinasi kuat yang dapat menghasilkan peningkatan besar dalam kinerja komputasi dan masa pakai baterai pada perangkat elektronik masa depan. Keberhasilan Intel menjadi yang pertama ke market melalui kedua teknologi ini merupakan kemenangan bagi pelanggan foundry di seluruh dunia.

Baca Juga: Kaspersky Ungkap Modus Kejahatan Siber yang Dirancang Teknologi AI